jueves, 30 de mayo de 2013

Compuertas con VHDL

en las siguientes imágenes se mostrara compuertas a si como los archivos de cada uno de los elementos que se realizaran con PLD (dispositivos lógicos programables) en este caso se utilizara una GAL 22V10D y el programa ispLever CLassic 1.6.

Comenzaremos con una compuerta AND


el archivo se encuentra en el siguiente link esta realizado en ISIS 7


En el ejemplo anterior se mostró una sola compuerta con descripción(VHDL), en el siguiente ejemplo se mostraran diferentes compuertas que se pueden grabar en el mismo circuito GAL 22V10D junto con la simulación en proteus.

pin 2  A
pin 3  B
pin 23 not A
pin 22 not B
pin 21 A and B
pin 20 A nand B
pin 19 A or B
pin 18 A nor B 
pin 17 A xor B
pin 16 A xnor B


el  link del archivo es el siguiente.



jueves, 9 de mayo de 2013

Contador Sincrono Ascendente del 3 al 6

Lo primero que se debe de hacer es seleccionar el flip-flop con el que se va a trabajar (en mi caso fue un tipo J-K), despues colocar las tablas de verdad de tal circuito.

las tablas se pueden ver en el siguiente enlace.


despues se realizaran las tablas de estado actual CBA  y estado siguiente C*B*A* 

se realizaran los mapas para JA,KA  JB,KB   JC,KC     que serán las entradas J y K de cada Flip-Flop como se puede ver en las siguientes imágenes.

1.- Sacar la tabla de verdad del flip flop J-K



2.- sacar la tabla caracteristica del flip-flop J-K








MATERIAL:

2 C.I 74LS109 (F.F J-K con Reset y Set)
1 C.I 74LS04 (Conpuerta inversora)
1 C.I  74LS08  (Compuerta NAN)
1 C.I  74LS32  (Compuerta OR)
1 C.I  74LS47  (Decodificador BCD a 7 segmentos)
1 Display de 7 segmentos Anodo comun.
1 Fuente de 5Vcc




A continuación se muestra las imágenes que se realizaron con en el simulador Proteus el cual representa un circuito contador sin crono Ascendente del 3 al 6 y se deja el enlace de la simulación por si en algún momento se necesita este mismo.


se colocaran imágenes con los pasos  para realizar este circuito.