jueves, 30 de mayo de 2013

Compuertas con VHDL

en las siguientes imágenes se mostrara compuertas a si como los archivos de cada uno de los elementos que se realizaran con PLD (dispositivos lógicos programables) en este caso se utilizara una GAL 22V10D y el programa ispLever CLassic 1.6.

Comenzaremos con una compuerta AND


el archivo se encuentra en el siguiente link esta realizado en ISIS 7


En el ejemplo anterior se mostró una sola compuerta con descripción(VHDL), en el siguiente ejemplo se mostraran diferentes compuertas que se pueden grabar en el mismo circuito GAL 22V10D junto con la simulación en proteus.

pin 2  A
pin 3  B
pin 23 not A
pin 22 not B
pin 21 A and B
pin 20 A nand B
pin 19 A or B
pin 18 A nor B 
pin 17 A xor B
pin 16 A xnor B


el  link del archivo es el siguiente.



No hay comentarios.: